早教吧 育儿知识 作业答案 考试题库 百科 知识分享

试分别用IFTHEN语句、WHENELSE和CASE语句的表达方式写出4选1多路选择器的VHDL程序,选通控制端有4个输入:S0、S1、S2、S3.当且仅当S0=0时:Y=A;S1=0时:Y=B;S2=0时:Y=C;S3=0时:Y=D.

题目详情
试分别用IF THEN语句、WHEN ELSE和CASE语句的表达方式写出4选1多路选择器的VHDL程序,选通控制端有4个输入:S0、S1、S2、S3.当且仅当S0=0时:Y=A;S1=0时:Y=B;S2=0时:Y=C;S3=0时:Y=D.
▼优质解答
答案和解析
ENTITY mux4 IS
PORT(A,B,C,D:IN Bit;
S:IN Bit_Vector(3 DOWNTO 0);
Y:OUT Bit);
END mux4;
ARCHITECTURE behav1 OF mux4 IS
BEGIN
mux4_p1:PROCESS(A,B,C,D,S)
BEGIN
IF S = ″1110″ THEN Y