早教吧 育儿知识 作业答案 考试题库 百科 知识分享

VHDL问题:can"t determine definition of operator ""/""--found 0 possiblelibrary IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_ARITH.all;use IEEE.STD_LOGIC_UNSIGNED.all;entity frequency isport(clk:in std_logic; frenow:out std_logic_vector

题目详情
VHDL问题:can't determine definition of operator ""/""--found 0 possible
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity frequency is
port(clk:in std_logic;
frenow:out std_logic_vector(32 downto 0));
end frequency;
architecture BEHAVIORAL of frequency is
begin
process(clk)
variable e:real;
begin
e:=85899*50000000/4294967296;
frenow
▼优质解答
答案和解析
process(clk)
variable e:integer;
begin
e:=85899*50000000/4294967296;
frenow
看了 VHDL问题:can"t d...的网友还看了以下: