早教吧 育儿知识 作业答案 考试题库 百科 知识分享

verilog为什么会出现这些警告,//modulepll2(clk,rstb,sysclk);inputclk;inputrstb;outputsysclk;regsysclk;reg[2:0]timecnt;reg[2:0]timecntn;reginputsreg1;reginputsreg2;always@(posedgeclk)//对输入信号寄存两拍begininpu

题目详情
verilog为什么会出现这些警告,
//
module pll2(
clk,
rst_b,
sysclk
);
input clk;
input rst_b;
output sysclk;
reg sysclk;
reg [2:0] time_cnt;
reg [2:0] time_cnt_n;
reg inputs_reg1;
reg inputs_reg2;
always @ (posedge clk) //对输入信号寄存两拍
begin
inputs_reg1
▼优质解答
答案和解析
警告不是有编号嘛,网上查一下,quartus用的人多,大部分都有分析的.
第一段sysclk接地了(在代码中恒为0),自己看一下代码.
第二段的警告是clk和rst_b没有驱动,应该是管脚没有定义.
第三段同样是管脚(sysclk)管脚没定义,共有3个管脚没定义.
第四段是提醒没有使用的管脚置为零(接地).
你这段代码的问题应该在
always @ (posedge clk) //对输入信号寄存两拍
begin
inputs_reg1
看了 verilog为什么会出现这...的网友还看了以下: