早教吧 育儿知识 作业答案 考试题库 百科 知识分享

VHDL四舍五入判别电路,输入为8421BCD码,请大神帮我看看程序问题在哪里libraryieee;useieee.stdlogic1164.all;entityroundisport(D0,D1,D2,D3:instdlogic;E:inbit;g,r:outbit);endround;architectureoneOFroundISsignalabc:s

题目详情
VHDL四舍五入判别电路,输入为8421 BCD码,请大神帮我看看程序问题在哪里
library ieee;
use ieee.std_logic_1164.all;
entity round is
port(D0,D1,D2,D3:in std_logic;
E:in bit;
g,r:out bit);
end round;
architecture one OF round IS
signal abc:std_logic_vector(3 DOWNTO 0);
PROCESS(E,D0,D1,D2,D3)
BEGIN
IF E
▼优质解答
答案和解析

在实体声明之前再加上一句use  ieee.std_logic_unsigned.all;

将signal abc: std_logic_vector(3 DOWNTO 0);改成variable abc: std_logic_vector(3 DOWNTO 0);并将其放到process(E,D0,D1,D2,D3)的后面;

在进程开始的地方加上一句abc := D3&D2&D1&D0;

IF E<='0' THEN这一句不对,估计是IF E='0' THEN

将case语句改成if语句:if abc<5 then g<='1',r='0'; else g<='0',r='1'; end if;也可以将这个if语句与前面的if语句嵌套成一个if语句:IF E='0' THEN r<='0',g<='0'; elsif abc<5 then g<='1',r='0'; else g<='0',r='1'; end if;

看了VHDL四舍五入判别电路,输入...的网友还看了以下:

线性代数,特征值,这里第一步代入 |入E-A|我能看懂,可后面的(入-3)(入-2)(入-1)是怎  2020-04-06 …

函数的概念问题高等数学里面,函数的定义是:设集数D包含于R,则称映射f:D→R为定义在D上的函数…  2020-06-08 …

下图中太平天国北伐的作战方针是()A.诱敌深入,集中优势兵力B.北伐与东征、西征协同作战C.偏师北  2020-06-24 …

某人存入银行10000元,定期一年,年利息为3.50%、到期后,可得到利息()元?张海将10000  2020-06-30 …

如图所示,半径为R的塑料圆环上均匀分布着电荷量为Q的负电荷.圆环左侧有一小缺口,其宽度为d(d<<R  2020-11-04 …

购买债券得到的利息收入和购买股票获得的股息属于按什么要素分配?1、购买债券得到的利息收入属于()A.  2020-11-06 …

用锤子将铁钉钉入木块中,设每次打击时锤子给予铁钉的动能都相同,铁钉所受的阻力跟钉子进入木块的深度成正  2020-11-29 …

用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:A.原理图输入设计方法直观便捷,很适合  2020-12-15 …

按《个人所得税法》规定,个人工资收入超出1600元的部分,应按百分一五的税率缴纳个人所得税.李叔叔每  2020-12-21 …

如图所示,用伏安法测电阻R的阻值时,下列说法正确的是()A.十分认真地进行测量,测量所得R值一定仍比  2020-12-31 …