早教吧 育儿知识 作业答案 考试题库 百科 知识分享

VHDL的有关问题并置运算符&是怎么用的?比如:SIGNALb:BITVECTOR(3DOWNTO0):="1100";SIGNALc:BITVECTOR(3DOWNTO0):="0010";X2

题目详情
VHDL 的有关问题
并置运算符&是怎么用的?比如:SIGNAL b :BIT_VECTOR (3 DOWNTO 0) :="1100" ; SIGNAL c :BIT_VECTOR (3 DOWNTO 0) :="0010" ; X2
▼优质解答
答案和解析
连接起来就可以了
x2就成了: "00101100"